Intel redefine el concepto de procesador, así serán en el futuro

Intel redefine el concepto de procesador, así serán en el futuro

Javier López

Ayer hablábamos de las ventajas que tenía Intel sobre AMD, donde uno de los apartados era precisamente el de la innovación. Prueba de ello es lo presentado por Intel para su tecnología de apilamiento 3D, la cual formará los chips de alto rendimiento del futuro, no sin problemas claro. La compañía parece haber dado con la solución a casi todos los problemas que esta tecnología entraña mediante lo que ha denominado como Intel ODI.

Antes de entrar en materia a explicar en qué consiste esta tecnología, debemos comprender cuales han sido los motivos que han llevado a Intel a desarrollarla, cuales han sido los problemas y dónde estaban las limitaciones.

Solo así podremos entender la complejidad de todo este asunto y cómo la compañía ha logrado solventar dichos problemas de una forma muy inteligente.

intel-emib-foveros-co-emib

En primer lugar y para hacernos un esquema mental, Intel trabaja actualmente con tres tecnologías de apilamiento distintas que van de menor a mayor complejidad: EMIB, Foveros y CO-EMIB.

La primera es la denominada tecnología 2.5D, la segunda es la primera generación real en 3D, mientras que la última combina ambas para ofrecer productos mucho más complejos. Aunque ya las hemos visto por separado, haremos un repaso rápido de todas para situarnos de nuevo.

Intel EMIB

Intel-EMIB

Acrónimo de Embedded MultiInterconnect Bridge, es un sistema 2.5D que ya nos es familiar, debido a que debutó con Kaby Lake G e incluía un die Intel con sus correspondientes núcleos, caché, registros etc …, un die perteneciente a AMD mediante una RX Vega M y una pila de HBM2 de 4 GB, todo en un único interposer que era soldado a las placas base de los portátiles.

EMIB es por lo tanto una tecnología de interconexión a media escala que permite extraer todo el rendimiento de cada chip que se incluya en el interposer.

Intel Foveros

Es el primer paso en la revolución de la que seremos testigos, el apilamiento 3D real. Esto no es más que interconectar diversos die de forma vertical, uno encima del otro, en vez de hacerlo de forma horizontal como se ha estado haciendo hasta ahora.

Es lo que Intel denomina como Face-to-Face y representa una serie de problemas bastante interesantes y a la vez algo lógicos. El primero es un problema eléctrico, ya que hay que llevar suficiente energía al troquel superior y para ello hay que pasar por el/los intermedios. Esto se solucionó en parte con las llamadas TSV, pero estas son reacias a suministrar grandes cantidades de voltaje y aunque añadiendo más esto se puede solucionar, se da el problema de que lo más costoso de un chip 3D en la actualidad es precisamente el añadir TSV de calidad y en volumen.

Por último, Intel se enfrenta a un problema de temperaturas. El troquel superior puede ser refrigerado, pero al mismo tiempo representa una resistencia térmica para los inferiores, ya que a cada die vertical el superior obstruye el traspaso del calor y genera un problema en cadena.

Intel CO-EMIB

Es básicamente una fusión, por llamarlo de alguna manera coloquial, entre EMIB y Foveros. Es un apilamiento 3D donde se aprovecha la longitud horizontal y por lo tanto palía en cierta manera los problemas de ambos, pero no los resuelve.

Por lo tanto, Intel tuvo que ingeniar una nueva tecnología que fuese compatible con sus tres modelos de empaquetado y que al mismo tiempo diese fin a todos los problemas de los que hemos hablado, y aquí es precisamente donde entra ODI.

Intel ODI

ODI es el acrónimo de Omni-Directional Interconnect y no es una tecnología en sí misma, sino un grupo de ellas, es decir, ODI abarca una serie de soluciones específicas que pueden ir aumentando en el tiempo o evolucionar como tal.

Su función principal se basa en la entrega de energía y pretende terminar con los problemas de EMIB y Foveros, ya que haciendo esto por omisión terminan los problemas de CO-EMIB.

Todo se basa en lo que Intel denomina como 3D packaging Flows, que no son más que unas vías internas de interconexión para mejorar la llegada de energía y al mismo tiempo mejorar la disipación de calor con un solo tipo de tecnología.

De momento, Intel ODI está dividida en dos tipos generales y estos a su vez en dos tecnologías complementarias, haciendo un total de cuatro variantes. La variante Tipo 1 se centran en los problemas entre los troqueles inferiores y superiores, mientras que el Tipo 2 lo hace entre los troqueles inferiores que están, verticalmente hablando, completamente debajo de un troquel superior.

Es decir, un empaque Foveros y un empaque CO-EMIB, donde por lógica entra Intel EMIB. Explicado esto vamos con los diferentes tipos más en profundidad.

Intel ODI Tipo 1

intel-odi-type-1-diagram-cavity-vs-pillar

Lo primero que debemos imaginar es que los die de los que vamos a hablar son de distinto tamaño, lo cual es importante porque la forma de interconectarlos varía por sus dimensiones. Con esto en mente tenemos que imaginar un die de mayor tamaño que está debajo de dos die superiores más pequeños, o en el caso más extremo, es un die de un mayor tamaño que está debajo de otro die más pequeño que lógicamente no llega a cubrir todo el área del inferior.

Por dar un símil más o menos simple, es un efecto pirámide: la base inferior siempre es de mayor área que la superior, con la diferencia de que aquí solo hay un troquel y encima puede tener uno más pequeño o varios, pero nunca llegan a ser tan grandes como el inferior.

intel-odi-type-1-hpc-example

La ventaja de esto es que Intel ha diseñado el sistema de manera que el troquel inferior solo cubre la porción del troquel superior donde se requiere la unión entre ellos. El porqué de esto tiene su lógica: eliminas las desventajas de las TSV y mantienes todas aquellas de Foveros. Como solo se usa la parte de la unión entre los troqueles, Intel puede hacer un ODI de Tipo 1 activo o pasivo.

Esto significa que en el caso de ser pasivo solamente se limita a la unión entre ambos troqueles, pero si es activo, funciona como transmisor de datos de interconexión, lo cual es muy útil si quieres añadir desde pilas HBM hasta caché externa o una iGPU. Por lo tanto y volviendo al esquema mental, si se usan varios die de distintos tamaños mediante ODI 1 se permite que todos ellos tengan acceso a una mejor disipación del calor, donde el IHS tendrá que ser en algunos casos específico, pero se logra un acceso directo al mismo y por lo tanto se resuelven los problemas de temperatura.

intel-sm-brief-odi-bottom-die

Esto es importante porque si mirásemos ambos troqueles desde una vista cenital, solo habría una parte de ellos que estaría conectada, la imprescindible, mientras que el resto del troquel es independiente y queda al aire en cada caso, con dos alturas distintas claro y esto es precisamente lo que lo diferencia de EMIB como tecnología.

Sin contar con que la unión entre ambos troqueles tiene que realizarse con compresión térmica entre otras cosas.

Intel ODI Tipo 2

intel-odi-type-2-diagram-cavity-vs-pillar

Aquí el problema se acrecienta, ya que el die inferior siempre está por debajo del die superior, es decir, no hay ninguna parte del troquel que está debajo que sobresalga del que está encima. Esto trae la desventaja del calor, pero al mismo tiempo tiene una ventaja más clara: el die inferior puede ser colocado exactamente donde se requiera frente al die superior y el número de conexiones puede ser mucho más alta.

Esta tecnología está enfocada a paliar los problemas de rendimiento y conexión entre troqueles, donde se maximiza siempre el rendimiento del superior, como pueden ser mejores interconexiones de entrada y salida, más velocidad en las cachés, externalización de las mismas sin penalización y un sin fin de posibilidades.

Se podría hacer un I/O estilo al de Zen 2, dejando las cachés fuera de los núcleos en die distintos, haciendo dies íntegros de núcleos y en tres niveles distintos sin pérdidas de rendimiento porque están directamente conectados entre ellos mediante uniones físicas con TSV. Las ventajas de esto son tan evidentes que es absurdo comentarlas siquiera.

Aquí el problema es la temperatura, pero con ODI Tipo 2 lo que se pretende es colocar los die de menor consumo energético en la parte inferior e ir escalando verticalmente hasta colocar los dies que generen un mayor calor en el estrato superior, pudiendo ser refrigerados con el IHS, lo cual da unas opciones de configuración de una CPU realmente asombrosas y permite segregar por eficiencia inclusive.

Como hemos dicho, ODI Tipo 1 y Tipo 2 tienen dos versiones distintas: Copper Pillar y Cavity, donde en la primera se usarían pilares de cobre para compensar la mayor altura, mientras que en la segunda el sustrato se amoldaría a los die inferiores permitiendo usar bumps en vez de pilares.

Intel ODI puede mezclar ambos tipos para ofrecer CPUs más complejas

intel-odi-types-mixed

Otra de las ventajas de ODI como tecnología es que no es excluyente, es decir, pueden usarse los dos tipos con sus dos versiones en una misma CPU. Por lo tanto, la modularidad que vamos a poder ver en las CPUs del futuro es de tal calibre que la complejidad en su diseño será el principal reto para Intel.

La pregunta más obvia es al mismo tiempo la más pertinente: ¿cuándo veremos estas CPU en el mercado? Una pregunta realmente difícil de responder. Hay que tener en cuenta que ODI como tecnología apenas tiene unos meses, se está implementando actualmente y tiene que mejorar en cuanto a simplificación del proceso, pero Intel se ha atrevido a ofrecer fechas aproximadas: 2022 o 2023.

Intel-ODI-EMIB-Foveros

ODI como tecnología logrará acortar los plazos, ya que soluciona los dos principales problemas de las tres tecnologías de Intel, así que los primeros procesadores (no tienen por qué ser para escritorio obviamente) podrían verse en 2024 ya en producción siendo realmente optimistas, ya que como suele ocurrir, primero se lanzan versiones menos potentes y cuando se pule y mejoran tanto el proceso como la producción entonces se ofrece la versión de alto rendimiento.

En cualquier caso, esto no es ciencia ficción, esto es una realidad, palpable, que ha estado ya en el mercado con Kaby Lake G y Stratix 10 y que sin duda es el futuro de los procesadores, lo cual es una revolución mucho mayor que el multi die o MCM debido a su complejidad, es el paso definitivo para poder paliar el muro que nos encontraremos con los procesos litográficos allá por el 2030 y puede ser sin duda el paso previo a tecnologías más complejas que dejen en pañales lo que actualmente tenemos en el mercado.

Fuente > Wikichip

¡Sé el primero en comentar!